Тестирование софта - статьи

       

Возможность автоматизации шагов разработки


Ниже приводится сводная таблица разрабатываемых модулей, в которой указаны поток выполнения модуля, язык разработки и возможность автоматизации разработки.

В таблице показано, что разработку модуля взаимодействия потоков, медиатора и Verilog-окружения можно автоматизировать полностью, разработку VPI-модуля - частично.
Ниже приводится сводная таблица разрабатываемых модулей, в которой указаны язык разработки модуля и возможность автоматизации его разработки.

В таблице показано, что разработку медиатора и модуля запуска тестовой системы можно автоматизировать полностью.

Содержание раздела